Dynamically Scalable NoC Architecture for Implementing Run-Time Reconfigurable Applications

Micromachines (Basel). 2023 Oct 7;14(10):1913. doi: 10.3390/mi14101913.

Abstract

The paper proposes two architectures for a dynamically scalable network-on-chip (NoC) for dynamically reconfigurable intellectual properties (IPs) to save power. The first architecture is a run-time scalable column-based NoC, where the columns of the NoC are scaled up and down at run-time depending on the demands to connect reconfigurable IPs. The second architecture is an extension of the first, where both the rows and columns of the NoC are dynamically scaled up and down on demand. A robust control manager is developed to control the IP and sub-NoC reconfigurations by optimizing the reconfiguration costs. The proposed architectures have been implemented and tested in actual prototypes on a Virtex 6 FPGA mounted on the ML605 board. The results show that dynamically scalable architectures are capable of significant power reduction as compared to traditional static architectures for the same size of the NoC. It is anticipated that the scalable NoC can be very useful for sharing the FPGA resources among IPs at runtime.

Keywords: FPGA; dynamic partial reconfiguration; partial reconfiguration; reconfigurable computing; scalable NoC; underutilized IPs.

Grants and funding

This research received no external funding.