Impact of Line Edge Roughness on ReRAM Uniformity and Scaling

Materials (Basel). 2019 Nov 30;12(23):3972. doi: 10.3390/ma12233972.

Abstract

We investigate the effects of Line Edge Roughness (LER) of electrode lines on the uniformity of Resistive Random Access Memory (ReRAM) device areas in cross-point architectures. To this end, a modeling approach is implemented based on the generation of 2D cross-point patterns with predefined and controlled LER and pattern parameters. The aim is to evaluate the significance of LER in the variability of device areas and their performances and to pinpoint the most critical parameters and conditions. It is found that conventional LER parameters may induce >10% area variability depending on pattern dimensions and cross edge/line correlations. Increased edge correlations in lines such as those that appeared in Double Patterning and Directed Self-assembly Lithography techniques lead to reduced area variability. Finally, a theoretical formula is derived to explain the numerical dependencies of the modeling method.

Keywords: Line Edge Roughness (LER); Resistive Random Access Memory (ReRAM); lithography; modeling; uniformity; variability.