An Ultra-Area-Efficient 1024-Point In-Memory FFT Processor

Micromachines (Basel). 2019 Jul 31;10(8):509. doi: 10.3390/mi10080509.

Abstract

Current computation architectures rely on more processor-centric design principles. On the other hand, the inevitable increase in the amount of data that applications need forces researchers to design novel processor architectures that are more data-centric. By following this principle, this study proposes an area-efficient Fast Fourier Transform (FFT) processor through in-memory computing. The proposed architecture occupies the smallest footprint of around 0.1 mm 2 inside its class together with acceptable power efficiency. According to the results, the processor exhibits the highest area efficiency ( FFT / s / area ) among the existing FFT processors in the current literature.

Keywords: associative processor; fast Fourier transform; in-memory computing; non-von neumann architecture.