Boron-Implanted Silicon Substrates for Physical Adsorption of DNA Origami

Int J Mol Sci. 2018 Aug 24;19(9):2513. doi: 10.3390/ijms19092513.

Abstract

DNA nanostructures routinely self-assemble with sub-10 nm feature sizes. This capability has created industry interest in using DNA as a lithographic mask, yet with few exceptions, solution-based deposition of DNA nanostructures has remained primarily academic to date. En route to controlled adsorption of DNA patterns onto manufactured substrates, deposition and placement of DNA origami has been demonstrated on chemically functionalized silicon substrates. While compelling, chemical functionalization adds fabrication complexity that limits mask efficiency and hence industry adoption. As an alternative, we developed an ion implantation process that tailors the surface potential of silicon substrates to facilitate adsorption of DNA nanostructures without the need for chemical functionalization. Industry standard 300 mm silicon wafers were processed, and we showed controlled adsorption of DNA origami onto boron-implanted silicon patterns; selective to a surrounding silicon oxide matrix. The hydrophilic substrate achieves very high surface selectivity by exploiting pH-dependent protonation of silanol-groups on silicon dioxide (SiO₂), across a range of solution pH values and magnesium chloride (MgCl₂) buffer concentrations.

Keywords: DNA nanotechnology; DNA origami; electrostatics; molecular self-assembly; semiconductor.

MeSH terms

  • Boron / chemistry*
  • Chemical Phenomena
  • DNA / chemistry*
  • Microscopy, Atomic Force
  • Nanostructures / chemistry
  • Nanotechnology
  • Silicon / chemistry*
  • Silicon Dioxide / chemistry

Substances

  • Silicon Dioxide
  • DNA
  • Boron
  • Silicon