A High-Precision Voltage-Quantization-Based Current-Mode Computing-in-Memory SRAM

Micromachines (Basel). 2023 Nov 29;14(12):2180. doi: 10.3390/mi14122180.

Abstract

Non-linear distortion of signals is a serious problem in computing-in-memory SRAM (CIM-SRAM) circuits in current mode. This problem greatly limits the performance of calculations and directly affects the computing power of the CIM-SRAM. In this study, the causes of non-linearity and inconsistency were investigated. Based on detailed analyses, we proposed a high-precision, fully dynamic range IV (HFIV) conversion circuit. The HFIV circuit was added to each bit line (BL) for voltage clamping and proportionally mirroring the read current. We applied the structure to numerous prior studies and evaluated them using the 55 nm complementary metal-oxide semiconductor process. The results showed the proposed HFIV circuit could increase the CIM-SRAM's calculation linearity to 99.92% (8~32 SRAM bit-cells) and 99.8% (32~64 SRAM bit-cells) with a 1.2 V supply.

Keywords: SRAM; analog non-ideality; computing-in-memory; high-precision fully dynamic range IV conversion circuit.

Grants and funding

This work is supported in part by the Science and Technology Commission of Shanghai Municipality under Grant NO. 21TS1401100.