Source and mask optimizing with a defocus antagonism for process window enhancement

Opt Express. 2022 Sep 26;30(20):36429-36445. doi: 10.1364/OE.469275.

Abstract

With the continuous reduction of critical dimension (CD) of integrated circuits, inverse lithography technology (ILT) is widely adopted for the resolution enhancement to ensure the fidelity of photolithography, and for the process window (PW) improvement to enlarge the depth of focus (DOF) and exposure latitude (EL). In the photolithography, DOF is a critical specification which plays a vital role for the robustness of a lithographical process. DOF has been investigated to evaluate the optimization quality of ILT, but there is not a clear scenario to optimize the DOF directly. In this paper, the source and mask optimization (SMO) based on defocus generative and adversarial method (DGASMO) is proposed, which takes the source, mask and defocus as variables, and the inverse imaging framework employs the Adam algorithm to accelerate the optimization. In the optimization process, the penalty term constantly pushes the defocus outward, while the pattern fidelity pushes the defocus term inward, and the optimal source and mask are constantly searched in the confrontation process to realize the control of DOF. Compared to SMO with the Adam method (SMO-Adam), the PW and DOF (EL = 15%) in DGASMO maximally increased 29.12% and 44.09% at 85 nm technology node, and the PW and DOF (EL = 2%) at 55 nm technology node maximally increased 190.2% and 118.42%. Simulation results confirm the superiority of the proposed DGASMO approach in DOF improvement, process robustness, and process window.