Voltage-Frequency Domain Optimization for Energy-Neutral Wearable Health Devices

Sensors (Basel). 2020 Sep 14;20(18):5255. doi: 10.3390/s20185255.

Abstract

Wearable health and activity monitoring devices must minimize the battery charging and replacement requirements to be practical. Numerous design techniques, such as power gating and multiple voltage-frequency (VF) domains, can be used to optimize power consumption. However, circuit-level techniques alone cannot minimize energy consumption unless they exploit domain-specific knowledge. To this end, we propose a system-level framework that minimizes the energy consumption of wearable health and activity monitoring applications by combining domain-specific knowledge with low-power design techniques. The proposed technique finds the energy-optimal VF domain partitioning and the corresponding VF assignments to each partition. We evaluate this framework with experiments on two activity monitoring and one electrocardiogram applications. Our approach decreases the energy consumption by 33-58% when compared to baseline designs. It also achieves 20-46% more savings compared to a state-of-the-art approach.

Keywords: energy consumption; low-power design; optimization; voltage-frequency domains; wearable devices.

Publication types

  • Letter

MeSH terms

  • Actigraphy
  • Electric Power Supplies
  • Electrocardiography
  • Humans
  • Monitoring, Physiologic / instrumentation*
  • Wearable Electronic Devices*