Grain-Boundary-Induced Alignment of Block Copolymer Thin Films

Nanomaterials (Basel). 2020 Jan 4;10(1):103. doi: 10.3390/nano10010103.

Abstract

We present and discuss the capability of grain boundaries to induce order in block copolymer thin films between horizontally and vertically assembled block copolymer grains. The system we use as a proof of principle is a thermally annealed 23.4 nm full-pitch lamellar Polystyrene-block-polymethylmetacrylate (PS-b-PMMA) di-block copolymer. In this paper, grain-boundary-induced alignment is achieved by the mechanical removal of the neutral brush layer via atomic force microscopy (AFM). The concept is also confirmed by a mask-less e-beam direct writing process. An elongated grain of vertically aligned lamellae is trapped between two grains of horizontally aligned lamellae. This configuration leads to the formation of 90° twist grain boundaries. The features maintain their orientation on a characteristic length scale, which is described by the material's correlation length ξ. As a result of an energy minimization process, the block copolymer domains in the vertically aligned grain orient perpendicularly to the grain boundary. The energy-minimizing feature is the grain boundary itself. The width of the manipulated area (e.g., the horizontally aligned grain) does not represent a critical process parameter.

Keywords: AFM; block copolymers; directed self-assembly; grain boundaries; mechanical removal; nanolithography.