Deep and tapered silicon photonic crystals for achieving anti-reflection and enhanced absorption

Opt Express. 2010 Mar 29;18(7):6841-52. doi: 10.1364/OE.18.006841.

Abstract

Tapered silicon photonic crystals (PhCs) with smooth sidewalls are realized using a novel single-step deep reactive ion etching. The PhCs can significantly reduce the surface reflection over the wavelength range between the ultra-violet and near-infrared regions. From the measurements using a spectrophotometer and an angle-variable spectroscopic ellipsometer, the sub-wavelength periodic structure can provide a broad and angular-independent antireflective window in the visible region for the TE-polarized light. The PhCs with tapered rods can further reduce the reflection due to a gradually changed effective index. On the other hand, strong optical resonances for TM-mode can be found in this structure, which is mainly due to the existence of full photonic bandgaps inside the material. Such resonance can enhance the optical absorption inside the silicon PhCs due to its increased optical paths. With the help of both antireflective and absorption-enhanced characteristics in this structure, the PhCs can be used for various applications.

Publication types

  • Research Support, Non-U.S. Gov't

MeSH terms

  • Absorption
  • Algorithms
  • Biosensing Techniques
  • Crystallization
  • Image Processing, Computer-Assisted
  • Ions
  • Microscopy, Electron, Scanning / methods
  • Optics and Photonics
  • Photons*
  • Silicon / chemistry*
  • Spectrophotometry / methods
  • Spectroscopy, Near-Infrared / methods

Substances

  • Ions
  • Silicon