A preferential design approach for energy-efficient and robust implantable neural signal processing hardware

Annu Int Conf IEEE Eng Med Biol Soc. 2009:2009:6383-6. doi: 10.1109/IEMBS.2009.5333729.

Abstract

For implantable neural interface applications, it is important to compress data and analyze spike patterns across multiple channels in real time. Such a computational task for online neural data processing requires an innovative circuit-architecture level design approach for low-power, robust and area-efficient hardware implementation. Conventional microprocessor or Digital Signal Processing (DSP) chips would dissipate too much power and are too large in size for an implantable system. In this paper, we propose a novel hardware design approach, referred to as "Preferential Design" that exploits the nature of the neural signal processing algorithm to achieve a low-voltage, robust and area-efficient implementation using nanoscale process technology. The basic idea is to isolate the critical components with respect to system performance and design them more conservatively compared to the noncritical ones. This allows aggressive voltage scaling for low power operation while ensuring robustness and area efficiency. We have applied the proposed approach to a neural signal processing algorithm using the Discrete Wavelet Transform (DWT) and observed significant improvement in power and robustness over conventional design.

Publication types

  • Research Support, N.I.H., Extramural

MeSH terms

  • Action Potentials / physiology*
  • Algorithms
  • Electric Power Supplies*
  • Electric Stimulation / instrumentation*
  • Energy Transfer
  • Equipment Design
  • Equipment Failure Analysis
  • Neurons / physiology*
  • Prostheses and Implants*
  • Reproducibility of Results
  • Sensitivity and Specificity
  • Signal Processing, Computer-Assisted / instrumentation*