Dynamic Temperature Management of Near-Sensor Processing for Energy-Efficient High-Fidelity Imaging

Sensors (Basel). 2021 Jan 30;21(3):926. doi: 10.3390/s21030926.

Abstract

Vision processing on traditional architectures is inefficient due to energy-expensive off-chip data movement. Many researchers advocate pushing processing close to the sensor to substantially reduce data movement. However, continuous near-sensor processing raises sensor temperature, impairing imaging/vision fidelity. We characterize the thermal implications of using 3D stacked image sensors with near-sensor vision processing units. Our characterization reveals that near-sensor processing reduces system power but degrades image quality. For reasonable image fidelity, the sensor temperature needs to stay below a threshold, situationally determined by application needs. Fortunately, our characterization also identifies opportunities-unique to the needs of near-sensor processing-to regulate temperature based on dynamic visual task requirements and rapidly increase capture quality on demand. Based on our characterization, we propose and investigate two thermal management strategies-stop-capture-go and seasonal migration-for imaging-aware thermal management. For our evaluated tasks, our policies save up to 53% of system power with negligible performance impact and sustained image fidelity.

Keywords: continuous mobile vision; fidelity; image sensors; thermal management.