L2C2: Last-level compressed-contents non-volatile cache and a procedure to forecast performance and lifetime

PLoS One. 2023 Feb 7;18(2):e0278346. doi: 10.1371/journal.pone.0278346. eCollection 2023.

Abstract

Several emerging non-volatile (NV) memory technologies are rising as interesting alternatives to build the Last-Level Cache (LLC). Their advantages, compared to SRAM memory, are higher density and lower static power, but write operations wear out the bitcells to the point of eventually losing their storage capacity. In this context, this paper presents a novel LLC organization designed to extend the lifetime of the NV data array and a procedure to forecast in detail the capacity and performance of such an NV-LLC over its lifetime. From a methodological point of view, although different approaches are used in the literature to analyze the degradation of an NV-LLC, none of them allows to study in detail its temporal evolution. In this sense, this work proposes a forecasting procedure that combines detailed simulation and prediction, allowing an accurate analysis of the impact of different cache control policies and mechanisms (replacement, wear-leveling, compression, etc.) on the temporal evolution of the indices of interest, such as the effective capacity of the NV-LLC or the system IPC. We also introduce L2C2, a LLC design intended for implementation in NV memory technology that combines fault tolerance, compression, and internal write wear leveling for the first time. Compression is not used to store more blocks and increase the hit rate, but to reduce the write rate and increase the lifetime during which the cache supports near-peak performance. In addition, to support byte loss without performance drop, L2C2 inherently allows N redundant bytes to be added to each cache entry. Thus, L2C2+N, the endurance-scaled version of L2C2, allows balancing the cost of redundant capacity with the benefit of longer lifetime. For instance, as a use case, we have implemented the L2C2 cache with STT-RAM technology. It has affordable hardware overheads compared to that of a baseline NV-LLC without compression in terms of area, latency and energy consumption, and increases up to 6-37 times the time in which 50% of the effective capacity is degraded, depending on the variability in the manufacturing process. Compared to L2C2, L2C2+6 which adds 6 bytes of redundant capacity per entry, that means 9.1% of storage overhead, can increase up to 1.4-4.3 times the time in which the system gets its initial peak performance degraded.

Publication types

  • Research Support, Non-U.S. Gov't

MeSH terms

  • Algorithms*
  • Commerce
  • Computer Simulation
  • Computers
  • Data Compression*

Grants and funding

All authors acknowledge support in part from grants (1) PID2019-105660RB-C21, PID2019-107255GB-C22, PID2019-103939RB-I00, or PDC2021-120898-I00 from Agencia Estatal de Investigación (AEI, https://www.aei.gob.es/) and Ministerio de Ciencia e Innovación (MCIN, https://www.ciencia.gob.es), (2) gaZ: T5820R research group from Aragón Goverment (http://www.aragon.es), (3) EU through FEDER and NextGenerationEU/PRTR programs by the DGA-FSE, and (4) by ISCIII under Project PI21/00440.