Review of recent advances in inorganic photoresists

RSC Adv. 2020 Feb 28;10(14):8385-8395. doi: 10.1039/c9ra08977b. eCollection 2020 Feb 24.

Abstract

The semiconductor industry has witnessed a continuous decrease in the size of logic, memory and other computer chip components since its birth over half a century ago. The shrinking of features has to a large extent been enabled by the development of advanced photolithographic techniques. This review focuses on one important component of lithography, the resist, which is essentially a thin film that can generate a specific feature after an exposure and development process. Smaller features require an even more precisely focused photon, electron or ion beam with which to expose the resist. The promising light source for next generation lithography that will enable downscaling patterns to be written is extreme ultraviolet radiation (EUV), 92 eV (13.5 nm). The review mainly focuses on inorganic resists, as they have several advantages compared with traditional organic resists. In order to satisfy the throughput requirement in high volume semiconductor manufacturing, metal oxide resists with high resolution and sensitivity have been proposed and developed for EUV lithography. The progress of various inorganic resists is introduced and their properties have been summarized.

Publication types

  • Review