High Sensitivity Resists for EUV Lithography: A Review of Material Design Strategies and Performance Results

Nanomaterials (Basel). 2020 Aug 14;10(8):1593. doi: 10.3390/nano10081593.

Abstract

The need for decreasing semiconductor device critical dimensions at feature sizes below the 20 nm resolution limit has led the semiconductor industry to adopt extreme ultra violet (EUV) lithography with exposure at 13.5 nm as the main next generation lithographic technology. The broad consensus on this direction has triggered a dramatic increase of interest on resist materials of high sensitivity especially designed for use in the EUV spectral region in order to meet the strict requirements needed for overcoming the source brightness issues and securing the cost efficiency of the technology. To this direction both fundamental studies on the radiation induced chemistry in this spectral area and a plethora of new ideas targeting at the design of new highly sensitive and top performing resists have been proposed. Besides the traditional areas of acid-catalyzed chemically amplified resists and the resists based on polymer backbone breaking new unconventional ideas have been proposed based on the insertion of metal compounds or compounds of other highly absorbing at EUV atoms in the resist formulations. These last developments are reviewed here. Since the effort targets to a new understanding of electron-induced chemical reactions that dominate the resist performance in this region these last developments may lead to unprecedented changes in lithographic technology but can also strongly affect other scientific areas where electron-induced chemistry plays a critical role.

Keywords: EUV lithography; chemically amplified resists; electron-induced chemistry; inorganic resists; main chain scission resists.

Publication types

  • Review