Electrical Characteristics and Reliability of Nitrogen-Stuffed Porous Low-k SiOCH/Mn2O3-xN/Cu Integration

Molecules. 2019 Oct 28;24(21):3882. doi: 10.3390/molecules24213882.

Abstract

In our previous study, a novel barrier processing on a porous low-dielectric constant (low-k) film was developed: an ultrathin Mn oxide on a nitrogen-stuffed porous carbon-doped organosilica film (p-SiOCH(N)) as a barrier of the Cu film was fabricated. To form a better barrier Mn2O3-xN film, additional annealing at 450 °C was implemented. In this study, the electrical characteristics and reliability of this integrated Cu/Mn2O3-xN/p-SiOCH(N)/Si structure were investigated. The proposed Cu/Mn2O3-xN/p-SiOCH(N)/Si capacitors exhibited poor dielectric breakdown characteristics in the as-fabricated stage, although, less degradation was found after thermal stress. Moreover, its time-dependence-dielectric-breakdown electric-field acceleration factor slightly increased after thermal stress, leading to a larger dielectric lifetime in a low electric-field as compared to other metal-insulator-silicon (MIS) capacitors. Furthermore, its Cu barrier ability under electrical or thermal stress was improved. As a consequence, the proposed Cu/Mn2O3-xN/p-SiCOH(N) scheme is promising integrity for back-end-of-line interconnects.

Keywords: MnOx; TDDB; barrier; electric-field acceleration factor; electrical characteristics; porous low-dielectric-constant; reliability.

MeSH terms

  • Carbon / chemistry*
  • Copper / chemistry
  • Electricity
  • Hot Temperature
  • Manganese / chemistry
  • Metals / chemistry*
  • Nitrogen / chemistry*
  • Organosilicon Compounds / chemistry
  • Oxides / chemistry
  • Porosity
  • Silicon / chemistry*

Substances

  • Metals
  • Organosilicon Compounds
  • Oxides
  • Manganese
  • Carbon
  • Copper
  • Nitrogen
  • Silicon