Engineered high aspect ratio vertical nanotubes as a model system for the investigation of catalytic methanol synthesis over Cu/ZnO

ACS Appl Mater Interfaces. 2014 Feb 12;6(3):1576-82. doi: 10.1021/am4042959. Epub 2014 Jan 14.

Abstract

Catalytically synthesized methanol from H2 and CO2 using porous Cu/ZnO aggregates is a promising, carbon neutral, and renewable alternative to replace fossil fuel based transport fuels. However, the absence of surface-engineered model systems to understand and improve the industrial Cu/ZnO catalyst poses a big technological gap in efforts to increase industrial methanol conversion efficiency. In this work, we report a novel process for the fabrication of patterned, vertically aligned high aspect ratio 1D nanostructures on Si that can be used as an engineered model catalyst. The proposed strategy employs near-field phase shift lithography (NF-PSL), deep reactive ion etching (DRIE), and atomic layer deposition (ALD) to pattern, etch, and coat Si wafers to produce high aspect ratio 1D nanostructures. Using this method, we produced a model system consisting of high aspect ratio Cu-decorated ZnO nanotubes (NTs) to investigate the morphological effects of ZnO catalyst support in comparison to the planar Cu/ZnO catalyst in terms of the catalytic reactions. The engineered catalysts performed 70 times better in activating CO2 than the industrial catalyst. In light of the obtained results, several important points are highlighted, and recommendations are made to achieve higher catalytic performance.

Publication types

  • Research Support, Non-U.S. Gov't