Pattern placement accuracy in block copolymer directed self-assembly based on chemical epitaxy

ACS Nano. 2013 Jan 22;7(1):276-85. doi: 10.1021/nn303974j. Epub 2012 Dec 7.

Abstract

The realization of viable designs for circuit patterns using the dense features formed by block copolymer directed self-assembly (DSA) will require a precise and quantitative understanding of self-assembled feature registration to guiding templates or chemical prepatterns. Here we report measurements of DSA placement error for lamellar block copolymer domains indexed to specific lines in the surface chemical prepattern for spatial frequency tripling and quadrupling. These measurements are made possible by the use of an inorganic domain-selective prepattern material that may be imaged upon polymer removal after DSA and a prepattern design incorporating a single feature serving as an in situ registration mark that is identifiable by pattern symmetry in both the prepattern and resulting self-assembled pattern. The results indicate that DSA placement error is correlated with average prepattern line width as well as prepattern pitch uniformity. Finally, the magnitude of DSA placement error anticipated for a uniform, optimized prepattern is estimated.

Publication types

  • Research Support, U.S. Gov't, Non-P.H.S.

MeSH terms

  • Crystallization / methods*
  • Materials Testing
  • Molecular Imaging / methods*
  • Nanostructures / chemistry*
  • Nanostructures / ultrastructure*
  • Particle Size
  • Polymers / chemistry*
  • Titanium / chemistry*

Substances

  • Polymers
  • titanium dioxide
  • Titanium